nvim/UltiSnips/markdown.snippets

51 lines
687 B
Plaintext

snippet l "[...](...)"
[${1:title}](${2:url})$0
endsnippet
snippet c "``` ..."
\`\`\`$0
\`\`\`
endsnippet
# latex
snippet vec "vector"
\\begin{bmatrix} $1 \\\\ $2 \\end{bmatrix}$0
endsnippet
snippet mx "matrix"
\\begin{bmatrix} $1 & $2 \\\\ $3 & $4 \\end{bmatrix}$0
endsnippet
snippet lim "limit"
\\lim{$1 \\to $2}$0
endsnippet
snippet sum "sum"
\\sum_{$1}^{$2}$0
endsnippet
snippet fr "fraction"
\\frac{$1}{$2}$0
endsnippet
snippet br "{}"
\\lbrace $1 \\rbrace$0
endsnippet
snippet | "|...|"
\\lvert $1 \\rvert$0
endsnippet
snippet || "|...|"
\\lVert $1 \\rVert$0
endsnippet
snippet ds "displaystyle"
\\displaystyle$0
endsnippet
snippet lmb "lambda" i
\\lambda$0
endsnippet