nvim/UltiSnips/markdown.snippets

67 lines
1.0 KiB
Plaintext

snippet l "[...](...)"
[${1:title}](${2:url})$0
endsnippet
snippet c "``` ..."
\`\`\`$0
\`\`\`
endsnippet
# latex
snippet vec "vector 1x2" i
\\begin{bmatrix} $1 \\\\ $2 \\end{bmatrix}$0
endsnippet
snippet vec3 "vector 1x3" i
\\begin{bmatrix} $1 \\\\ $2 \\\\ $3 \\end{bmatrix}$0
endsnippet
snippet mx "matrix 2x2" i
\\begin{bmatrix} $1 & $2 \\\\ $3 & $4 \\end{bmatrix}$0
endsnippet
snippet mx3 "matrix 3x3" i
\\begin{bmatrix} $1 & $2 & $3 \\\\ $4 & $5 & $6 \\\\ $7 & $8 & $9 \\end{bmatrix}$0
endsnippet
snippet lim "limit" i
\\lim{$1 \\to $2}$0
endsnippet
snippet sum "sum" i
\\sum_{$1}^{$2}$0
endsnippet
snippet fr "fraction" i
\\frac{$1}{$2}$0
endsnippet
snippet sq "square root" i
\\sqrt{$1}$0
endsnippet
snippet rt "root" i
\\sqrt[${1:3}]{${2:x}}$0
endsnippet
snippet br "{}" i
\\lbrace $1 \\rbrace$0
endsnippet
snippet | "|...|"
\\lvert $1 \\rvert$0
endsnippet
snippet || "|...|"
\\lVert $1 \\rVert$0
endsnippet
snippet ds "displaystyle" i
\\displaystyle$0
endsnippet
snippet lmb "lambda" i
\\lambda$0
endsnippet